Q
quaere1verum
The error info is not descriptive. Anyone catches it?
Library ieee;
Use ieee.std_logic_1164.all;
-- I am trying to simulate the logic below
-- aeqb = (a1'.b1').(a0'.b0') + (a1'.b1').(a0.b0) + (a1.b1).(a0'b0') + (a1.b1).(a0.b0)
Entity two_bit_equal is
Port (
a, b: in std_logic_vector(1 downto 0);
aeqb : out std_logic);
End two_bit_equal;
Architecture arch of two_bit_equal is
Signal p0, p1,p2,p3 : std_logic;
begin
aeqb <= (p0 or p1) or (p2 or p3);
P0 <= (a(1) and b(1)) or (a(0)and b(0));
P1<= (a(1)and b(1)) and ( (not a(0)) and (not b(0)));
P2<= ( (not a(1)) and (not b(1))) and (a(0) and b(0));
P3 <= ( (not a(1)) and (not b(1)) ) and ( (not a(0)) and (not b(0)) );
End arch;
Library ieee;
Use ieee.std_logic_1164.all;
-- I am trying to simulate the logic below
-- aeqb = (a1'.b1').(a0'.b0') + (a1'.b1').(a0.b0) + (a1.b1).(a0'b0') + (a1.b1).(a0.b0)
Entity two_bit_equal is
Port (
a, b: in std_logic_vector(1 downto 0);
aeqb : out std_logic);
End two_bit_equal;
Architecture arch of two_bit_equal is
Signal p0, p1,p2,p3 : std_logic;
begin
aeqb <= (p0 or p1) or (p2 or p3);
P0 <= (a(1) and b(1)) or (a(0)and b(0));
P1<= (a(1)and b(1)) and ( (not a(0)) and (not b(0)));
P2<= ( (not a(1)) and (not b(1))) and (a(0) and b(0));
P3 <= ( (not a(1)) and (not b(1)) ) and ( (not a(0)) and (not b(0)) );
End arch;