R
Rockerboy
Hi,
I am new to VHDL. I want to convert an integer to std_logic_vector of
length 32. Is there any in-built function in VHDL for this?
I am doing the following includes:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
Thanks,
Abhishek
I am new to VHDL. I want to convert an integer to std_logic_vector of
length 32. Is there any in-built function in VHDL for this?
I am doing the following includes:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
Thanks,
Abhishek