ERROR:HDLParsers:164 - "D:/Deepak jena/full_adder/fa.vhd" Line 51. parse error, unexp

Joined
Jun 7, 2011
Messages
1
Reaction score
0
I am using XILINX ISE 8.1i software and writing code for full adder in structural model. This is my code......


library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;

entity fa is
Port ( a,b,cin : in STD_LOGIC;
s,co : out STD_LOGIC);
end fa;

architecture Behavioral of fa is
component andgate
port(a1,b1:in std_logic;c1:eek:ut std_logic);
end component;
component xorgate
port(a2,b2:in std_logic;c2:eek:ut std_logic);
end component;
component orgate
port(a3,b3:in std_logic;c3:eek:ut std_logic);
end component;
signal x1,x2,x3:std_logic;
begin
xo1: xorgate portmap(a,b,x1);
xo2: xorgate portmap(cin,x1,s);
a1: andgate portmap(a,b,x3);
a2: andgate portmap(cin,x1,x2);
o1: orgate portmap(x2,x3,co);
end Behavioral;


In this program Syntax check fails and show the following error. can any body help me to solve the problem?


ERROR:HDLParsers:164 - "D:/Deepak jena/full_adder/fa.vhd" Line 51. parse error, unexpected IDENTIFIER, expecting OPENPAR or TICK or LSQBRACK

Process "Check Syntax" failed
 

Ask a Question

Want to reply to this thread or ask your own question?

You'll need to choose a username for the site, which only take a couple of moments. After that, you can post your question and our members will help you out.

Ask a Question

Members online

No members online now.

Forum statistics

Threads
473,996
Messages
2,570,237
Members
46,825
Latest member
VernonQuy6

Latest Threads

Top