- Joined
- Sep 2, 2008
- Messages
- 3
- Reaction score
- 0
hi,i want to duplicate a bloc fifo so i use :
component fifo
port (
clock : in std_logic;
Reset : in std_logic;
WriteEnable : in std_logic;
ReadEnable : in std_logic;
DataIn : in std_logic_vector(31 downto 0);
DataOut : out std_logic_vector(31 downto 0);
FifoEmpty : out std_logic;
FifoFull : out std_logic
);
end component;
fifo_0 : fifo port map (
Reset => reset,
clock => clk,
DataIn => data_in_0,
WriteEnable => w0,
ReadEnable => rd0,
DataOut => wire5
);
fifo_1 : fifo port map (
Reset => reset,
clock => clk,
DataIn => data_in_1,
WriteEnable => w1,
ReadEnable => rd1,
DataOut => wire6
);
data_in 0 ,w0,wire5,.... are nodes to connect fifos to other blocs.
the problem that the duplication don't change the name of component,and it doesn't work in temporel(simulation with modelsim)
component fifo
port (
clock : in std_logic;
Reset : in std_logic;
WriteEnable : in std_logic;
ReadEnable : in std_logic;
DataIn : in std_logic_vector(31 downto 0);
DataOut : out std_logic_vector(31 downto 0);
FifoEmpty : out std_logic;
FifoFull : out std_logic
);
end component;
fifo_0 : fifo port map (
Reset => reset,
clock => clk,
DataIn => data_in_0,
WriteEnable => w0,
ReadEnable => rd0,
DataOut => wire5
);
fifo_1 : fifo port map (
Reset => reset,
clock => clk,
DataIn => data_in_1,
WriteEnable => w1,
ReadEnable => rd1,
DataOut => wire6
);
data_in 0 ,w0,wire5,.... are nodes to connect fifos to other blocs.
the problem that the duplication don't change the name of component,and it doesn't work in temporel(simulation with modelsim)