Thanks a lot John,
I actually required it for synthesis... Anyway here is the code i wrote for counting till 100 and it is working perfectly.
Thank you again for your help
----------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
library UNISIM;
use UNISIM.VComponents.all;
entity Counter is
Port ( CLK : in STD_LOGIC;
Output : out STD_LOGIC);
end Counter;
architecture Behavioral of Counter is
signal count: std_logic_vector(7 downto 0):= (others => '0');
begin
process (CLK)
begin
if rising_edge(CLK) then
if count < "01100100" then --100
Output<= '1';
else
Output <= '0';
end if;
if count >"01110100" then -- 116
count <= (others =>'0');
else
count <= count + '1';
end if;
end if;
end process;
----------------------------------------------