T
thierrybingo
Hi,
I wonder in what packages the inequality like <, <= involving std_logic_vector operators are defined. I wrote the code below, compiled it with modelsim and it worked fine. However std_logic_1164 package does not have the defintion of < with std_logic_vector..so how the result is computed?
Cheers
library ieee;
use ieee.std_logic_1164.all;
entity comp is
port (a,b: in std_logic_vector(3 downto 0);
ctrut std_logic);
end entity;
architecture behaviour of comp is
begin
ctr<='1' when (a<b) else
'0';
end;
I wonder in what packages the inequality like <, <= involving std_logic_vector operators are defined. I wrote the code below, compiled it with modelsim and it worked fine. However std_logic_1164 package does not have the defintion of < with std_logic_vector..so how the result is computed?
Cheers
library ieee;
use ieee.std_logic_1164.all;
entity comp is
port (a,b: in std_logic_vector(3 downto 0);
ctrut std_logic);
end entity;
architecture behaviour of comp is
begin
ctr<='1' when (a<b) else
'0';
end;