T
Tricky
Ive got this code:
library ieee;
use ieee.std_logic_1164.all;
entity play_TB is
generic (
DEBUG : boolean := true
);
end entity;
architecture rtl of play_TB is
signal test : std_logic;
begin
process
begin
if DEBUG then
test <= '0';
end if;
wait;
end process;
test <= '1';
end rtl;
This quite correctly has test set to 'X' when the simulation runs.
But if DEBUG is false, test remains at 'U'.
So is this an LRM thing, or is it a modelsim bug?
library ieee;
use ieee.std_logic_1164.all;
entity play_TB is
generic (
DEBUG : boolean := true
);
end entity;
architecture rtl of play_TB is
signal test : std_logic;
begin
process
begin
if DEBUG then
test <= '0';
end if;
wait;
end process;
test <= '1';
end rtl;
This quite correctly has test set to 'X' when the simulation runs.
But if DEBUG is false, test remains at 'U'.
So is this an LRM thing, or is it a modelsim bug?