A
Andreas
User-Agent: OSXnews 2.07
Xref: number1.nntp.dca.giganews.com comp.lang.vhdl:57850
Hi all,
I've got a linking problem using the ieee.math_real package with ghdl. I
removed nearly all code from my Application (see below) and it compiles
as far as I remove the 'use ieee.math_real.all'. Here's what I got
from the console (without removing the ieee.math_real.all)
So here is what I got from the console:
/Developer/Simulator/GHDL/lib/gcc/powerpc-apple-darwin8.2.1/4.0.2/vhdl/lib/v93/ieee/math_real-body.o has external relocation
entries in non-writable section (__TEXT,__text) for symbols:_atanh
_acosh
_atan2
_acos
_asin
_log
_exp
_sqrt
collect2: ld returned 1 exit status
ghdl: compilation error
MyComp.vhdl:
library ieee;
use ieee.std_logic_1164.all;
use ieee.math_real.all;
entity compMy is
port( clk : in std_logic);
end compMy;
architecture behaviour of compMy is
begin
StateMy: process(clk)
begin
if(clk = '1' and clk'event) then
wait 1 ns;
end if;
end process StateMy;
end behaviour;
I'm using ghdl 0.20 (20051015) on Mac OSX 10.4.3 with Xcode 2.0
Looking at google had no success and the ghdl doc's aren't very
detailed, so anybody got a hint for me?
Thanks says
Andreas
Xref: number1.nntp.dca.giganews.com comp.lang.vhdl:57850
Hi all,
I've got a linking problem using the ieee.math_real package with ghdl. I
removed nearly all code from my Application (see below) and it compiles
as far as I remove the 'use ieee.math_real.all'. Here's what I got
from the console (without removing the ieee.math_real.all)
So here is what I got from the console:
/usr/bin/ld:ghdl -a CompMy.vhdl
ghdl -e CompMy
/Developer/Simulator/GHDL/lib/gcc/powerpc-apple-darwin8.2.1/4.0.2/vhdl/lib/v93/ieee/math_real-body.o has external relocation
entries in non-writable section (__TEXT,__text) for symbols:_atanh
_acosh
_atan2
_acos
_asin
_log
_exp
_sqrt
collect2: ld returned 1 exit status
ghdl: compilation error
MyComp.vhdl:
library ieee;
use ieee.std_logic_1164.all;
use ieee.math_real.all;
entity compMy is
port( clk : in std_logic);
end compMy;
architecture behaviour of compMy is
begin
StateMy: process(clk)
begin
if(clk = '1' and clk'event) then
wait 1 ns;
end if;
end process StateMy;
end behaviour;
I'm using ghdl 0.20 (20051015) on Mac OSX 10.4.3 with Xcode 2.0
Looking at google had no success and the ghdl doc's aren't very
detailed, so anybody got a hint for me?
Thanks says
Andreas