O
Olaf Petzold
Hi,
I try to move out some stuff for use with testbenches. Anyway, I wote:
---8<---
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
package tb_pkg is
procedure tic(clk : in time);
end package tb_pkg;
package body tb_pkg is
procedure tic(clk: in time) is
begin
wait until rising_edge(clk); -- line73
end procedure;
end package body tb_pkg;
--->8--
modelsim got:
# ** Error: ../source/vhdl/tb_pkg.vhd(73): No feasible entries for
subprogram "rising_edge".
# ** Warning: [2] ../source/vhdl/tb_pkg.vhd(73): Wait statement has no
sensitivity list or time out clause.
Mmhh, this happens only by use with the package. How to get it working
and what is the reason for? It's inspired by
http://home.comcast.net/~mike_treseler/test_uart.vhd ;-)
Thanks
Olaf
I try to move out some stuff for use with testbenches. Anyway, I wote:
---8<---
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
package tb_pkg is
procedure tic(clk : in time);
end package tb_pkg;
package body tb_pkg is
procedure tic(clk: in time) is
begin
wait until rising_edge(clk); -- line73
end procedure;
end package body tb_pkg;
--->8--
modelsim got:
# ** Error: ../source/vhdl/tb_pkg.vhd(73): No feasible entries for
subprogram "rising_edge".
# ** Warning: [2] ../source/vhdl/tb_pkg.vhd(73): Wait statement has no
sensitivity list or time out clause.
Mmhh, this happens only by use with the package. How to get it working
and what is the reason for? It's inspired by
http://home.comcast.net/~mike_treseler/test_uart.vhd ;-)
Thanks
Olaf