N
Nikola Skoric
Hello there,
I'm rather new to VHDL and find this piece of code perfectly legitimate:
architecture Behavioral of writer is
file FP: TEXT open write_mode is "output.txt";
begin
process (write) is
variable l : line;
variable data : std_logic_vector(63 downto 0);
begin
if (rising_edge(write)) then
data := DATA_in;
write(l,data);
writeline(FP,l);
end if;
end process;
end Behavioral;
But my analyzer disagrees, and says: "Second argument of write must have
a constant value." What should I do to please my analyzer?
I'm rather new to VHDL and find this piece of code perfectly legitimate:
architecture Behavioral of writer is
file FP: TEXT open write_mode is "output.txt";
begin
process (write) is
variable l : line;
variable data : std_logic_vector(63 downto 0);
begin
if (rising_edge(write)) then
data := DATA_in;
write(l,data);
writeline(FP,l);
end if;
end process;
end Behavioral;
But my analyzer disagrees, and says: "Second argument of write must have
a constant value." What should I do to please my analyzer?