Hi,
can any one help me about this , the following signal assignment is in the process:
Red<=dout;
temp <= temp-"00000001";
Green<=dout;
temp <= temp-"00000001";
Blue<=dout;
temp <= temp-"00000001";
i want to read this three Red ,Green and Blue in the duration of just one clock through the rom which i got from the xilinx ipcores . but as you see after i change the signal "temp" that is bound to the address of the rom ,simultaneously I want to read the signal "dout" thar is bound to output of the rom. I have no choice , i understand the Delta-delay concept but ,I have no choice , i must read these three consecutive rows of the rom at the same time. how can i do it? how can I manipulate the address and I read the row that the manipulated address points to?
**********signal declaration*********
signal temp: std_logic_vector(7 downto 0);
signal dout : std_logic_vector(7 downto 0);
signal Red : std_logic_vector(7 downto 0);
signal Green : std_logic_vector(7 downto 0);
*******************************************
************component declaration************
component rom
port(
clk:in std_logic;
addr:in std_logic_vector(7 downto 0);
dout ut std_logic_vector(7 downto 0));
end component;
******************************************
**********component configuration********
memory_instance: rom
port map(
clk=>clk,
addr=>temp,
dout => dout);
************************************
I appreciate so much if U could help me.
sincerely
Roya
can any one help me about this , the following signal assignment is in the process:
Red<=dout;
temp <= temp-"00000001";
Green<=dout;
temp <= temp-"00000001";
Blue<=dout;
temp <= temp-"00000001";
i want to read this three Red ,Green and Blue in the duration of just one clock through the rom which i got from the xilinx ipcores . but as you see after i change the signal "temp" that is bound to the address of the rom ,simultaneously I want to read the signal "dout" thar is bound to output of the rom. I have no choice , i understand the Delta-delay concept but ,I have no choice , i must read these three consecutive rows of the rom at the same time. how can i do it? how can I manipulate the address and I read the row that the manipulated address points to?
**********signal declaration*********
signal temp: std_logic_vector(7 downto 0);
signal dout : std_logic_vector(7 downto 0);
signal Red : std_logic_vector(7 downto 0);
signal Green : std_logic_vector(7 downto 0);
*******************************************
************component declaration************
component rom
port(
clk:in std_logic;
addr:in std_logic_vector(7 downto 0);
dout ut std_logic_vector(7 downto 0));
end component;
******************************************
**********component configuration********
memory_instance: rom
port map(
clk=>clk,
addr=>temp,
dout => dout);
************************************
I appreciate so much if U could help me.
sincerely
Roya