Z
Zheyu.Gao
library ieee;
use ieee.std_logic_1164.all;
entity sig_test is
port( d1, d2, d3: in std_logic;
res1, res2: out std_logic);
end sig_var;
architecture behv of sig_var is
signal sig_s1: std_logic;
signal sig_s2: std_logic;
begin
sig_s1<=d1; -- 1 delta
sig_s2<=sig_s1; -- 2 delta
proc2: process(d1,d2,d3)
begin
sig_s1 <= d1 ; -- 1 delta
sig_s2 <= sig_s1; -- 2 delta
end process;
end behv;
use ieee.std_logic_1164.all;
entity sig_test is
port( d1, d2, d3: in std_logic;
res1, res2: out std_logic);
end sig_var;
architecture behv of sig_var is
signal sig_s1: std_logic;
signal sig_s2: std_logic;
begin
sig_s1<=d1; -- 1 delta
sig_s2<=sig_s1; -- 2 delta
proc2: process(d1,d2,d3)
begin
sig_s1 <= d1 ; -- 1 delta
sig_s2 <= sig_s1; -- 2 delta
end process;
end behv;