P
Paul Uiterlinden
I'm in a situation where some IP written in VHDL must be sent to a customer
so he can simulate it. Of course we don't want to send the source code.
Normally, what we do is sending pre-compiled libraries, where the the VHDL
files are compiled using the -nodebug option of vcom. This is for
ModelSim/QuestaSim.
Now we're facing a customer who does not use ModelSim. Instead, he uses a
simulator by Cadence (Incisive, I suppose, or ncsim). We do not have
Cadence tools.
So, what's the best way to cope with this?
The first question is whether ncvhdl has a similar option as the -nodebug
option of vcom, hiding all internal signals and hierarchy. I have tried to
find the manual pages of ncvhdl on www.cadence.com but the search results
from that site are awful.
Second thought is using the new VHDL-2008 `PROTECT keyword. A data sheet on
the Incisive simulator however does not mention any 2008 support. Is this
correct?
As a last resort we could use some kind of obfuscator like Krypton by Leda
(if that still exists). But I'm not in favor of that idea.
Any answers/thoughts are welcome.
Thanks.
so he can simulate it. Of course we don't want to send the source code.
Normally, what we do is sending pre-compiled libraries, where the the VHDL
files are compiled using the -nodebug option of vcom. This is for
ModelSim/QuestaSim.
Now we're facing a customer who does not use ModelSim. Instead, he uses a
simulator by Cadence (Incisive, I suppose, or ncsim). We do not have
Cadence tools.
So, what's the best way to cope with this?
The first question is whether ncvhdl has a similar option as the -nodebug
option of vcom, hiding all internal signals and hierarchy. I have tried to
find the manual pages of ncvhdl on www.cadence.com but the search results
from that site are awful.
Second thought is using the new VHDL-2008 `PROTECT keyword. A data sheet on
the Incisive simulator however does not mention any 2008 support. Is this
correct?
As a last resort we could use some kind of obfuscator like Krypton by Leda
(if that still exists). But I'm not in favor of that idea.
Any answers/thoughts are welcome.
Thanks.