Hello,
I'm doing some project and as usual I got stuck on the little and the dumbest things...
A : in std_logic_vector(3 downto 0);
B : out std_logic_vector(6 downto 0));
.....
B<=x"OE" when A<"1000" else
x"37"
.....
Now I get 2 errors :
1. ... expected an integer value
2. ... string literal must be terminated
can't understand what's wrong..
thanks,
I'm doing some project and as usual I got stuck on the little and the dumbest things...
A : in std_logic_vector(3 downto 0);
B : out std_logic_vector(6 downto 0));
.....
B<=x"OE" when A<"1000" else
x"37"
.....
Now I get 2 errors :
1. ... expected an integer value
2. ... string literal must be terminated
can't understand what's wrong..
thanks,