A
aleksazr
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
I have an adder with carry out as std_logic,
and I have a number as unsigned.
signal limit, originallimit : unsigned(14 downto 0);
signal cy : std_logic;
limit <= originallimit + cy;
I have searched the net, but can't find out how to
convert std_logic to unsigned.
If I declare CY as unsigned(0 downto 0) then it works,
but it complains on the ADDER side (also, it makes more
sense to declare it as std_logic... right?)
use ieee.numeric_std.all;
I have an adder with carry out as std_logic,
and I have a number as unsigned.
signal limit, originallimit : unsigned(14 downto 0);
signal cy : std_logic;
limit <= originallimit + cy;
I have searched the net, but can't find out how to
convert std_logic to unsigned.
If I declare CY as unsigned(0 downto 0) then it works,
but it complains on the ADDER side (also, it makes more
sense to declare it as std_logic... right?)