Hello,
Is this allowed inside Xilinx ISE : generic map (record1.field1'length) ??
Previously I have defined record:
type test_record is record
field1 : std_logic_vector(31 downto 0);
field2 : std_logic_vector(31 downto 0);
end record;
constant record1 : test_record := ((others => '0'),(others => '0'));
I get this error message:
The actual value (Attribute name) associated with a generic must be a globally static expression
Thanks in advance
Is this allowed inside Xilinx ISE : generic map (record1.field1'length) ??
Previously I have defined record:
type test_record is record
field1 : std_logic_vector(31 downto 0);
field2 : std_logic_vector(31 downto 0);
end record;
constant record1 : test_record := ((others => '0'),(others => '0'));
I get this error message:
The actual value (Attribute name) associated with a generic must be a globally static expression
Thanks in advance